关于 clock tree

1.  create_clock 时,不要定义在 hierarchical pin 上,否则 cts 时会忽略这个 clock ,详见 CTS-811  Warning,解法是将其定义到实际存在的 pin 或者 port 上。

2.  insert boundary cell 和 set driving cell 时,注意如果是在clock path上,要用 ckcell; ckbuffer 与 普通 buffer 的区别在于 ck buffer 的 rise transition 和 fall transition 是一样的,对称的,而普通 buffer 的 rise 和 fall 则是不对称的。

3.  

 

 

|----------------------------|

转载于:https://www.cnblogs.com/xiaoxie2014/p/9679760.html

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值