在QuartusII中编译VHDL的package(原创)

在网上down的源码有些时候要自己来编译,用Quartus来编译VHDL的源码,当有package的时候,不能像在ISE中那样直接用work.packagename.all就可以把package包含进去,用quartus会出现一个错误说找不到这个package。解决这个问题可以使用user library,在当前项目中新建一个目录,起名比方说叫my_lib,然后把要编译的package放进去,然后在Assignments中settings(ctrl + shift + E)左边User Libraries,在右边的library name中添加刚才建的目录即my_lib,然后选左边的files把package文件添加到工程里面。在工程中要引用这个package就可以这样写,在需要引用这个package的文件头添加
 library my_lib;
 use my_lib.packagename.all;
,执行编译就可以了。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值