vcd文件中存储多维数组 vcs_VCS课时4: 使用VCD文件进行后处理

课程目标

原来是互动的过程,这一节课主要讲的是仿真平台完成任务,用DVE打开打开波形文件

查看波形文件

查看log文件

最早的是VCD文件,在这基础上推出的VCD+文件,文件比较大,读取慢

d08ade0729aabf8ba86a2062d793abac.png

在仿真代码中,嵌入dump 波形

产生VCD文件

DVE在仿真后步骤

1 DVE后处理模式

PPT1

仿真的速度(depend on data dump commands 各种开关)

(设计验证的初期,需要我们把波形保持下来,这个时候bug比较多,但是到后面设计收敛,跑的比较久,这个时候就不需要dump波形)

信号的可见性(查看仿真工具的信息,波形和log文件)

问题的追踪性(可以追踪到问题出在哪儿)

是否方便使用(是否对用户友好,user friendly)(verdi、debussy对应的fsdb文件,debug最好用的工具之一)

PPT2

7633740489fcbf7c2e09a1a116d47150.png

项目里面通过makefile的文件,脚本(批处理)

PPT3

244064babc16bd822f54bc98e6b519c1.png

DVE目前只支持VCD plus文件,可以用相互的转换工具

PPT4

fb2504be8edc5392bbad3a5154d6749f.png

关键是dump波形,$vcdpluson(参数),可以记录部分的波形文件

2 VCD

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值