使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

版权声明:本文为CSDN博主「Silent_Majority」的原创文章,遵循CC 4.0 by-sa版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/Silent_Majority/article/details/72830191

 

使用VCS 仿真后,通过DVE 观察波形,多维数据显示not load问题及解决方案

问题描述:

 在用Verilog声明二位数组时,比如   reg [15:0] data [0:9],表明声明10个16位数据。

用VCS仿真生成vpd波形文件,然后用DVE查看这个信号时,显示not load,并没有数据信息。对modelsim仿真来说,并没有这个问题。

解决方案:

用 vcs -h 查看所有参数,发现如下

所以用vpd格式保存信号时,在vcs后多加个 +memcbk参数,并在testbench中加上 $vcdplusmemon系统命令,就可以dump查看多维数组

对vcd格式来说,需要在vcs后加上 +memcbk  +vcs+dumparrays 。

问题解决!

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值