用verilog写一个跑马灯程序

在Verilog中,可以使用状态机的方法实现跑马灯程序。下面是一个简单的例子:

module horse_lamp (
  input clk,
  output reg [3:0] lamp
);
  
  enum {S1, S2, S3, S4} state;
  
  always @(posedge clk) begin
    case (state)
      S1: lamp = 4'b0001;
        if (lamp == 4'b0001) begin
          state <= S2;
        end
      S2: lamp 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值