vhdl文件添加c语言头文件,我在VHDL语言中定义了信号z,想把实体里的a,b,c相加付给z: z<=a+b+c;请赐教怎么样才可以实现,哎。...

满意答案

这里的abc是什么数据类型呢?

如果是多位二进制序列,则可以用z<=a+b+c表达式实现。如果是一位二进制,就得用z<=a OR b OR c这个表达式了。

一位二进制:

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY xyz IS

PORT(a,b,c:in std_logic;

z:out std_logic);

END xyz;

ARCHITECTURE n OF xyz IS

BEGIN

z<=a OR b OR c;

END n;

多位二进制序列:

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY xyz IS

PORT(a,b,c:in std_logic_vector(3 downto 0);

z:out std_logic_vector(3 downto 0));

END xyz;

ARCHITECTURE n OF xyz IS

BEGIN

z<=a+b+c;

END n;

00分享举报

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值