verilog从txt中读取_如何在Verilog中逐行读取文本文件?

I have a SREC file which is a simple text file and I want to read it line by line in verilog. How can I do that?

解决方案

The following reads through a file, 1 line per clock cycle: expected data format is one decimal number per line.

integer data_file ; // file handler

integer scan_file ; // file handler

logic signed [21:0] captured_data;

`define NULL 0

initial begin

data_file = $fopen("data_file.dat", "r");

if (data_file == `NULL) begin

$display("data_file handle was NULL");

$finish;

end

end

always @(posedge clk) begin

scan_file = $fscanf(data_file, "%d\n", captured_data);

if (!$feof(data_file)) begin

//use captured_data as you would any other wire or reg value;

end

end

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值