linux打开fsdb文件,产生FSDB波形文件的若干技巧

fsdbDumplimit - 限制FSDB文件size

-- $fsdbDumpvars([],

*)

fsdbDumpfile - 指定FSDB文件名

-- $fsdbDumpfile(“”)

fsdbDumpvars - Dump指定的变量

--

fsdbDumpSingle - Dump指定的信号

fsdbDumpvariable - Dump指定的VHDL变量

fsdbSwitchDumpFile - 将dumping切换到另一个FSDB文件

-- $fsdbSwitchDumpFile(“

name>”)

fsdbAutoSwitchDumpfile - 限制文件大小并在数据量过大时自动创建新的FSDB文件

-- $fsdbAutoSwitchDumpfile(

size>, “

name>”,< number of

file>)

fsdbDumpflush - Force to Dump Result to FSDB file

fsdbDumpMem - Dump 指定的memory的内容

-- $fsdbDumpMem(,

[,

[]])

$fsdbDumpon - 打开 FSDB dumping

$fsdbDumpoff - 关闭 FSDB dumping

产生FSDB波形文件的若干技巧

2007年12月05日 星期三 09:30

fsdbDumplimit - 限制FSDB文件size

-- $fsdbDumpvars([],

*)

fsdbDumpfile - 指定FSDB文件名

-- $fsdbDumpfile(“”)

fsdbDumpvars - Dump指定的变量

--

fsdbDumpSingle - Dump指定的信号

fsdbDumpvariable - Dump指定的VHDL变量

fsdbSwitchDumpFile - 将dumping切换到另一个FSDB文件

-- $fsdbSwitchDumpFile(“

name>”)

fsdbAutoSwitchDumpfile - 限制文件大小并在数据量过大时自动创建新的FSDB文件

-- $fsdbAutoSwitchDumpfile(

size>, “

name>”,< number of

file>)

fsdbDumpflush - Force to Dump Result to FSDB file

fsdbDumpMem - Dump 指定的memory的内容

-- $fsdbDumpMem(,

[,

[]])

$fsdbDumpon - 打开 FSDB dumping

$fsdbDumpoff - 关闭 FSDB dumping

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值