【开发环境】 irun(ncverilog)无法dump fsdb波形问题解决方法

一、前言

  本人使用IRUN仿真并通过调用$fsdbDumpfile函数生成波形时,IRUN无法识别$fsdbDumpfile函数。先总结解决方法如下,供大家参考。

 

二、问题

  1. 在TestBeach中,调用以下函数生成fsdb波形文件;

1 //Enable dump fsdb
2 initial
3 begin
4   $fsdbDumpfile("test.fsdb");
5   $fsdbDumpvars(0,TB);
6 end

 

  2. IRUN运行时出现如下错误:$fsdbDumpfile和$fsdbDumpvars 函数不能识别;

    Building instance overlay tables: .................... Done
    Generating native compiled code:
        worklib.HANDSHAKE_TB:v <0x45f2bf0a>
            streams:   1, words:   554
    Building instance specific data structures.
    Loading native compiled code:     .................... Done
    Design hierarchy summary:
                      
  • 0
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值