verdi学习总结

verdi学习总结

本篇文章关于Verdi的使用,并长期进行补充与更新。

verdi简介

verdi用来查看fsdb波形进行代码的debug。

使用流程

1.在tb文件中dump相应的要调试的信号。

$fsdbDumpvars(0,test_top) //test_top所有的信号,数字表示层数。

2.运行相应的VCS脚本生成可执行文件。
3.运行可执行文件产生fsdb文件。
4.verdi打开相应的fsdb文件以及源文件。

快捷方式

快捷方式含义
Shift+s保存rc文件
shift+c保存rc文件至原始文件
shift+i刷新波形
c改变波形颜色手动选择

注意事项

1.书写dut_inc.f时+incdir+…/hdl/没有空格,如果出现空格会出现找不到include文件的错误。

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值