计算机组成原理 取指令过程,杭电计算机组成原理取指令与指令译码实验7.doc

文档介绍:

杭州电子科技大学计算机学院实验报告课程名称: 计算机组成原理实验项目: 取指令与指令译码实验指导教师: 实验位置: 姓名班级学号: 日期: 实验目的(1) 学****指令存储器的设计(2) 掌握 CPU 取指令与指令译码的方法和过程实验环境 1. ISE Design Suite 14.6 2. Digilent Adept 3. Nexys3 实验板实验内容(算法、程序、步骤和方法) 本实验的目的, 设计一个只读储存器作为指令储存器, 用来单独储存程序. 包括指令存储器程序计数器 PC  PC 自增加法器指令译码器本实验, 取指令操作: 根据 PC 内容到指令储存器中取出指令, 然后 PC自增, 必须在下一个周期开始时赋值给 PC, 指定的一个中间 PC_new, 除此之外还有个 rest 重新开始的信号. 存储器的设计和实验五的类似的按照方法设计. 1. 顶层模块 module Test_Fetch_Inst( input rst, input clk, input [1:0]C, output reg [7:0]LED ); wire [31:0]Inst_code; Fetch_Inst test(rst,clk,Inst_code); always@(*) begin case(C) 2'b00:LED=Inst_code[7:0]; 2'b01:LED=Inst_code[15:8]; 2'b10:LED=Inst_code[23:16]; 2'b11:LED=Inst_code[31:24]; endcase end endmodule 2. 指令的提取模块 module Fetch_Inst( input rst, input clk, output [31:0]Inst_code ); wire [31:0]PC_new; reg [31:0]PC; initial PC=32'h00000000; Inst_addr Inst_mem( .clka(clk), .addra(PC[7:2]), .douta(Inst_code) ); assign PC_new=PC+4; always@(posedge rst or negedge clk) begin if(rst) PC=32'h00000000; else PC={24'h000000,PC_new[7:0]}; end endmodule (接上) 实验内容(算法、程序、步骤和方法) 3. 管脚 "C[0]" LOC = V8; NET "C[1]" LOC = T5;

内容来自淘豆网www.taodocs.com转载请标明出处.

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值