计算机组成原理存储器设计,杭电计算机组成原理存储器设计实验5

该实验报告详细介绍了在杭州电子科技大学计算机学院进行的一次计算机组成原理实验,主要内容涉及Verilog HDL语言用于存储器设计,包括Memory IP核的生成、参数设置和验证。实验中使用ISE Design Suite 14.6和Nexys3实验板,通过编写Verilog代码实现对存储器的读写操作,并通过LED灯显示数据。实验结果表明,数据读写操作正确。
摘要由CSDN通过智能技术生成

《杭电计算机组成原理存储器设计实验5》由会员分享,可在线阅读,更多相关《杭电计算机组成原理存储器设计实验5(4页珍藏版)》请在人人文库网上搜索。

1、杭州电子科技大学计算机学院实验报告课程名称:计算机组成原理姓名:实验项目:存储器设计实验班级:指导教师:学号:实验位置: 5日期:2015年 5月 15日实验目的实验环境实验内容(算法、程序、步骤和方法)( 1)学习和使用 Verlilog HDL进行和思绪电路的设计方法( 2)学习在 ISE 中设计生产 Memory IP 核的方法( 3)学习存储器的结构及读写原理,掌握储存器的设计方法ISE Design Suite 14.6Digilent AdeptNexys3 实验板(1) 生成 Mempry IP 核的产生步骤1) 新建关联文档 *.coe 初始化文件操作2) 新建一个 Memor。

2、y IP 内核3) Memory IP 内核的参数设置4) 调用 RAM_B存储模块(2) 编写一个实验验证的的顶层模块,调用生成的存储器模块(3) 配置管脚产生 * .bit文件顶层模块:module Test_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED); input 7:2Mem_Addr;input 1:0C;input Mem_Write,Clk;output reg 7:0LED;wire 31:0M_R_Data;reg 31:0M_W_Data;RAM_B ram(.clka(Clk),.wea(Mem_Write),.addra(Mem_Addr7:。

3、2),.dina(M_W_Data),.douta(M_R_Data);always(*)beginLED=0;M_W_Data=0;if(!Mem_Write)begincase(C)2b00:LED=M_R_Data7:0;2b01:LED=M_R_Data15:8;2b10:LED=M_R_Data23:16;2b11:LED=M_R_Data31:24;endcaseendelsebegincase(C)2b00:M_W_Data=32h0002_0003;2b01:M_W_Data=32h0002_0603;2b10:M_W_Data=32h1234_5678;2b11:M_W_Da。

4、ta=32hffff_ffff;endcaseendendendmodule配置管脚 :NET C0 LOC = T10;NET C1 LOC = T9;NET Clk LOC = C9;NET LED0 LOC = U16;(接NET LED1 LOC = V16;上)NET LED2 LOC = U15;实验NET LED3 LOC = V15;内容NET LED4 LOC = M11;(算NET LED5 LOC = N11;法、NET LED6 LOC = R11;程NET LED7 LOC = T11;序、NET Mem_Addr2 LOC = V9;步骤NET Mem_Addr3 。

5、LOC = M8;和方NET Mem_Addr4 LOC = N8;法)NET Mem_Addr5 LOC = U8;NET Mem_Addr6 LOC = V8;NET Mem_Addr7 LOC = T5;NET Mem_Write LOC = B8;实验仿真结果数据记录和计算本实验的结果正确, 根据自己写的coe 文件中存储的数据进行操作, 和实验四有很多的相似处, 只是进行简单的读写的操作, 实验的结果正确. 能够根据操结论作 ,lED 灯显示具体的数据.(结果)试验心得与小结指导教师评议本实验和实验四比较来说的话 , 更为简单 , 利用 IP 核中储存数据 , 我们就可以通过其中存储的数据进行操作 , 代码也是比较的简单 , 我们直接调用 IP 核生成的模块的代码 , 以至于操作也比较的方便 . 刚开始不熟悉使用 IP 核 , 了解了之后就比较简单了 , 比第四个实验自己写寄存器更简单 .成绩评定:指导教师签名。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值