状态机编写实例(三段式以及index one-hot+reverse case + synopsys FSM写法)

本文通过实例展示了如何使用三段式状态机设计一个自动售货机的控制系统,该售货机接受10元、20元、50元纸币,商品价格80元,并具备找零功能。文中提供了状态转换图和相应的代码实现,并进行了随机测试向量的生成以验证电路的正确性。
摘要由CSDN通过智能技术生成

应用场景:编写一个自动售货机,功能如下:

共有三种纸币入口,分别支持10元,20元,50元。货物售价为80元。需要支持找钱功能。


分析:状态转换图如下所示,使用三段式状态机将如下状态图描述出来 

标题

代码如下:


//三段式moore状态机(输出只与当前状态有关)

module aotu_vending(
				input 		clk,
				input		rst_n,
				input		Ten,
				input		Twnty,
				input		Fifty,
				output		Ok,
				output 		Ten_back,
				output		Twnty_back,
				output		Thirty_back,
				output		Fourty_back
	);
	//用格雷编码表示转态
	localparam	IDLE  = 4'b0000,
				S_10  = 4'b0001,
				S_20  = 4'b0011,
				S_30  = 4'b0010,
				S_40  = 4'b0110,
				S_50  = 4'b0111,
				S_60  = 4'b0101,
				S_70  = 4'b0100,
				S_80  = 4'b1100,
				S_90  = 4'b1101,
				S_100 = 4'b1111,
				S_110 = 4'b1110,
				S_120 = 4'b1010;
	
	reg	[3:0] current_state,next_state;
	always@(posedge clk,negedge rst_n)begin
		if(!rst_n)
			current_state <= IDLE;
		else
			current_state <= next_state;
	end
	always@(*)begin
		case(current_state)
			IDLE :begin
				if(Ten) 		next_state<=S_10;
				else if(Twnty)	next_state<=S_20;
				else if(Fifty)	next_state<=S_50;
				else			next_state<=IDLE;
			end
			S_10 :begin
				if(Ten)			next_state<=S_20;
				else if(Twnty)  next_state<=S_30;
				else if(Fifty)  next_state<=S_60;
				else            next_state<=S_10;
			end
			S_20 :begin
				if(Ten)			next_state<=S_30;
				else if(Twnty)  next_state<=S_40;
				else if(Fifty)  next_state<=S_70;
				else            next_state<=S_20;
			end
			S_
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值