FPGA学习之DDS

什么是DDS

DDS(Direct Digital Synthesizer)直接数字式频率合成器

调频原理(任意频率)

(1)事先波形数据存储在ROM里,每一个ROM地址对应一个波形数据(假设地址位宽8位,总共2^8=256)。DDR就是循环读取ROM里的数据。
(2)假设系统时钟为50MHZ,每个时钟地址+1,则获得频率   f o u t = 50 M h z 256 = 195.3 k h z   \ fout=\frac{50Mhz}{256}=195.3khz \,  fout=25650Mhz=195

  • 9
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值