[AG32VF407]国产MCU+FPGA 开发环境Supra及Quartus配置及led

视频讲解

[AG32VF407]国产MCU+FPGA 开发环境Supra及Quartus配置及led控制

实验过程

需要下载 Quartus II
Intel® Quartus® II Subscription Edition Design Software Version 13.1 for Windows
其他注册方式参考网上的安装和注册方法
参考文章《MANUAL_AGRV2K.pdf》,使用supra将AG2K_led_demo迁移一个新的工程,再由新的工程转换成quartus II工程在这里插入图片描述
使用quartus打开工程,led.qpf
在这里插入图片描述使用T cl Script脚本
在这里插入图片描述
选择af_quartus.tcl脚本,执行run,就会看到窗口在运行编译等在这里插入图片描述出现如上的警告,表示当前的license不支持这个设备,需要按照如下来
Current license file does not support the EP4CE115F29C7

在这里插入图片描述
要选择安装目录下bin64中的sys_cpt.dll才可以,在编译一次在这里插入图片描述回到supra
在这里插入图片描述
编译
在这里插入图片描述
烧录
在这里插入图片描述
点击分析
在这里插入图片描述
看rtl
在这里插入图片描述

  • 9
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Supra下载FPGA是一种将设计好的逻辑电路或处理器芯片的代码加载到现场可编程门阵列(Field-Programmable Gate Array,简称FPGA)器件中的过程。 首先,我们需要准备一个开发环境,如Xilinx Vivado或Altera QuartusFPGA设计工具。这些工具提供了开发FPGA所需的软件支持和资源。 接下来,在开发环境中创建一个新的项目,并选择目标FPGA型号。根据所选择的型号,相应的芯片规格和资源将被加载进工程。 然后,我们需要编写硬件描述语言(HDL)代码,用于描述所需的逻辑电路或处理器的行为。常用的HDL语言有VHDL和Verilog。 在编写完HDL代码后,我们需要将其综合为门级逻辑网表。这是一个将HDL代码转换为逻辑门和连线的过程。 接下来,我们进行逻辑综合和优化,以减小设计的功耗和延迟,并确保设计的正确性。 然后,我们使用生成的逻辑网表进行布局和布线,即将逻辑门和连线映射到实际的FPGA芯片上。这一过程将确保逻辑的正确连接性和时序满足。 最后,我们通过JTAG接口将生成的比特流文件加载到目标FPGA芯片中。比特流文件是经过位流生成的二进制文件,包含了所设计的逻辑电路或处理器的配置信息。 通过以上步骤,我们就可以顺利地将设计好的逻辑电路或处理器芯片的代码下载到FPGA中,使其能够按照我们的需求运行并实现特定的功能。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值