ISE14.7联合Modelsim10.1a设置

本文介绍ISE工具调用modelsim工具进行仿真,在modelsim工具中调用ISE工具中的仿真库文件。

1、产生ISE仿真库文件,在“Xilinx Design Tools->ISE Design Suite->ISE Design Tools->64-bit Tools->Simulation Library Complication Wizard”选项,点击打开。

2、在“Select Simulation”下面选中Modelsim版本,在这里选择“Modelsim SE”。在“Simulation Executable Location”下面填入Modelsim.exe所在的文件夹,如下图所示。

3、选择需要编译的语言,一般我们使用默认选项“Both VHDL and Verilog”,如图所示,点击“Next”。

4、接着如图所示,让我们选择需要编译的Xilinx FPGA和CPLD器件库。这里默认勾选上。点击"Next"。

5、如图所示,这一步默认,全选上。下面的两行留空即可。点击"Next"。

6、在“Output directory for compiled libraries”下面填入输出已编译库的路径,这里输入“C:\Xilinx\Xilinx_lib”,其他默认,之后点“Launch Compiled Process”,如图所示。

7、整个编译时间会长些,尤其是有很长时间编译进度会停留在0%,这是正常现象,需要耐心等待。

8、当编译进度到100%后,会跳转到如下图所示的界面,这里报告编译过程中的error和warning,warning可以忽略,error必须查看。若出现了最好回头看看相关路径是否出现了中文或空格、版本是否正确。笔者的编译edk出现了error,可以不用理会,我们开发过程中用不到它。点击"Next"即可。

9、最后一个界面是如图所示的编译报告的summary,点击“Finish”完成整个器件库的编译。

10、待库生成完毕之后,ISE的安装目录下会看到Modelsim.ini的文件。

11、把ISE的安装目录下的Modelsim.ini打开,拷贝阴影部分的部分(在modelsim,ini文件的第47行开始一直到“[vcom]”的上面一行,即第308行为止的内容)。

12、接着,在Modelsim的安装下,即“C:\modeltech_10.1a”,找到“modelsim.ini”后打开。在第12行的行尾,回车换行,然后将前面复制好的内容粘贴上去,如图所示。原有的内容都别动它,不要删掉。

粘贴后保存modelsim.ini文件。

13、还需要对ISE软件进行设置,打开ISE14.7。然后在ISE的菜单上找到“Edit Preferences...”,如图所示,单击打开Preferences设置窗口。

14、在左边的“Category”下选中“ISE General->Integrated Tools”。在Integrated Tools设置中,右边的“Model Tech Simulator”下面输入Modelsim.exe的文件路径,如图所示:

到此为止,联合仿真已经全部设置好了,接下来,就可以进入FPGA的开发和设计的阶段。

  • 5
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值