SystemVerilog | 有别于C指针的对象句柄,还有那个常常出现的this

来自 JKZHAN IC Talking —systemverilog专辑

在C/C++语言的学习中,指针(pointer)的概念会被强调的多一些。SystemVerilog作为源自C/C++的编程语言,保留了很多跟C/C++类似的关键字和特性,但却很少提到“指针”。实际上,SV中对象的引用有另一种东西,叫对象句柄(object handle),它跟C指针的概念很近,但又不完全相同。

本文将首先简单带过OOP相关的基础概念,接着对对象句柄做对比介绍,最后再介绍那个你可能似懂非懂的this句柄。八分钟读完本文,你会有所收获!

01 Background

简单带点基础概念。类(class)是面向对象编程(OOP)中的一种类型,类会包括成员变量和成员函数,它们共同组成了一种新的数据结构,并共同定义了某一种对象的内容和能力。

成员变量的叫法很多,比如类数据(data)或者类属性(properties࿰

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值