【uvm】uvm中void‘()是什么意思?

在uvm中,有的语句是

if(!uvm_config_db#(virtual ubus_if)::get))
有的是

if(void‘(!uvm_config_db#(virtual ubus_if)::get)) )
有什么区别? void‘是什么意义?

对于有返回值的函数加上void’操作符的意思就是告诉仿真器这个函数虽然有返回值,但是我不需要这个返回值。其实你完全可以不加上void’,加上这个的唯一好处就在于你可以让仿真器闭嘴:本来函数有返回值,但是你不使用,这时候仿真器会抛出警告。加上void’可以关闭这个警告,让仿真log更干净点而已。

  • 5
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值