Quartus II 中编译警告解决办法

Quartus II 中编译警告解决办法,长期更新

开一帖子长期记录Quartus II 的Warning解决办法

Warning (18236):

Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.

没有指定用来编译的电脑是几核心的,否则编译大型工程有可能跑飞

解决办法
1.(亲测不管用)

Tools ->options->Processing->Maximum process… 指定最大的处理器核心数就可
在这里插入图片描述
2.(亲测管用)
在工程路径下找到工程文件 xxxx.qsf,
最后一行添加 这一句,指定核心数

set_global_assignment -name NUM_PARALLEL_PROCESSORS 4

这个就和makefile 里 make -j8 一个意思
在这里插入图片描述

Warning (292013):

Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.

功能 LogicLock 只有在获得有效的订阅许可证时才可用。您可以购买软件订阅以获得对该功能的完全访问权。

原因:掏钱解锁新姿势
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值