fpga中例化乘法器_FPGA与DSP协同处理系统设计之:典型实例-FPGA片上硬件乘法器的使用...

本文介绍了如何在FPGA设计中利用硬件乘法器IP核提升计算效率,包括IP核的生成、配置方法以及在IIR数字滤波器设计中的应用示例。
摘要由CSDN通过智能技术生成

本文引用地址:http://www.eepw.com.cn/article/201706/348797.htm

11.5典型实例20:FPGA片上硬件乘法器的使用

11.5.1实例的内容及目标

1.实例的主要内容

在FPGA+DSP系统设计系统中,FPGA经常作为DSP的协处理器来辅助完成一些计算任务。而这些计算工作中最消耗时间的就是乘法运算,因此本实例的主要内容就是帮助读者学会调用硬件乘法IP核。

2.实例目标

通过本实例,读者应达到以下目标。

·了解硬件乘法器对算法的加速作用。

·掌握FPGA片上硬件乘法器IP的调用方法。

11.5.2硬件乘法IP的使用方法

1.生成硬件乘法器

如图11.12所示,在“NewSource”里面选择“IP(CoreGenArchitectureWizard)”,在右边的文件名里面输入“multiply”,单击“Next”按钮,打开如图11.13所示的IP核类型选择对话框。

图11.12新建IP核文件

图11.13新建乘法器IP核

在IP核类型选择界面里面选择MathFunctions→Multipliers→Multiplierv7.0,单击“Next”按钮,打开乘法器IP核生成向导,如图11.14所示。

图11.14乘法器生成向导

在上面对话框里,“ComponentName”是

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值