cadence原理图封装pin名称重复_Cadence数模混合仿真方法及技巧

本文介绍了在Cadence环境下进行数模混合仿真的详细步骤,包括新建数字单元、编写Verilog代码、建立混合仿真原理图、配置config文件,以及解决pin名称重复问题。通过这些步骤,读者可以掌握混合仿真的完整流程,适用于需要进行数模混合仿真的场景。
摘要由CSDN通过智能技术生成

@TOC 前端时间在学校实验室的服务器需要数模混合仿真的时候,研究了很久数模混合仿真方法。最近开始意识到写博客,记笔记的重要性。打算重新回顾总结一下。将Cadence 数模混合仿真流程总结如下: 如果Cadence 版本差别太多可能不太适用

1.进入Libraty Manager界面

1e94a2dec2e91b7656542d7309648cbf.png

2. 新建cell(digital)单元

67cb79635b328920fbb87efbc8241e0f.png

这一步按照新建模拟电路cell的方法,建立数字cell。file——new——cellview

3. 选择 cell type及编辑器

完成第一二步之后出现以下界面,

502feacbbff81a607322b829e3d63688.png

点击type选项,选择verilog选项,之后,编辑器会自动变为text editor

3e36c93af52fb68b0d199c70a86f05cf.png

4. 为cell命名并编写verilog代码——生产symbol

a.按照verilog代码要求,编写verilog代码并检查.以计数器代码为例* *b.编写好代码后,点击检查代码无误。

59bc4fdcce315700030c3aba2334dbca.png

注意:点击检查后如果代码有误,编辑器会有提示,根据错误提示修改代码,重复点击检查按键。直到出现如下界面表示代码无误

c5d5684a4743298d3d68d4c865388444.png

c.点击yes生产symbol

99b1ddde4bb4080e6aeb74aca19d96af.png

此处的输入输出口均为verilog代码里定义的端口,多位端口如图所示

5 建立 Analog - Digital 混合仿真原理图

这一步与普通的模拟测试电路没有区别,新建的cell type选择 schematic即可。 按照选择模拟电路symbol的方法,在schematic电路图中导入之前生产的count5的symbol。加入时钟信号,地。 注意此处暂时不用考虑数字模块的电源电压,后续仿真会设置。如果跟模拟模块联合仿真,按照正常步骤添加模拟symbol即可,之后正确连线,打好label方便仿真测试 Check and Save没有问题之后就可以关闭原理图界面了

42a604482a14f4234f87b7da120ca91d.png

小技巧提示:如果输出端口为多位数据,可以将对应输出点命名为out这种格式,表明输出有n+1位这个地方困混我很久,一直不知道怎么观察多位的输出。希望对大家有用。

6.建立 Analog - Digital 混合仿真config文件

a.按照之前创建 Cellview 的方法,这里在 Cell 的名字要与刚刚混合仿真的 schematic的名字相同。Type 一定要选 config。这样在 View 中也是 config。点击 OK 进行创建。 b.或者在library manager界面选中仿真原理图cell后,点击file——new——cellview。此时cell的名称自动为count4_test,但type为config

224538b62e03512abe3e0c6a4e22383d.png

点击OK后,出现如下界面,选择view——schematic,表示混合仿真原理图。

926ad6cb0c445239150c79b9a575b088.png

接下来,再选择ues template,选择AMS仿真器,点击OK

ba854d5c39e83869242f3145f6f3fae0.png

如下图所示,已经加载好所需要的仿真配置,点击OK,关闭配置界面。

66dca9d9b2e0efcdf1ec5293e4892bca.png

7.建立混合仿真环境

完成以上步骤后,在下图界面点击箭头处,进行updata and save 操作。

a096b9b5a22aeb21b7252fcbdbe0a56e.png

如果出现如下界面,选中后点击OK.

13dfc470739f894a2c23f60b222e75f7.png

点击下图中,ADE L选项。

34b8410ba133cd7db92fbb1a6a85d2e5.png

在ADE L 仿真界面选择setup——>connect rulers/IE setup 在以下界面,选择数字模块的供电电压。 我用的是1.8V电压,根据系统要求,自行设定

61f59c20df437f41561a0d524e916199.png

之后按照 正常流程选择瞬态tran仿真,并且选择要观察的信号线,便可以正常仿真。 注意如果要观察的信号线有多位数据,选中信号线的时候会出现如下图界面。

daca12dfef3dcb3f97dafb06b824e9c0.png

可以选择其中一位观察,也可以全部选择。

以上就是cadence 数模混合仿真流程,之前用到数模混合仿真的时候花费了不少时间,希望可以帮到大家。欢迎评论讨论。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值