搭建UVM验证环境之hello world

搭建UVM验证环境历程(一)–hello world
1.确认linux虚拟机上已经安装了vcs,然后在home目录下创建验证环境的工作目录;
2.在工作目录下,编写一个简单的Makefile文件如下:
在这里插入图片描述
在这里插入图片描述
其中:vcs代表使用的仿真器的名字;
full64代表使能支持64bit仿真器;
sverilog代表使能解析system verilog代码开关;
-ntb_opts uvm-1.1选择uvm的版本号;
-o simv代表输出的可执行文件的名字;
3.执行make run命令,效果如下图:
在这里插入图片描述

  • 3
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值