uvm_sequence主要task/function的执行顺序(一)

sequence作为uvm最重要的机制之一,它用来产生激励,并且通过sequencer将激励发送给driver.在sequence中提供了不少用户自定义的接口,方便对激励的处理,下面对相关的task/function执行顺序做下介绍.
1.function/task
在这些function/task常用的是pre_body、body、post_body,通常会通过pre_body和post_body实现对仿真结束的控制,body用来发送相应的sequence.
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
2.执行顺序
通过start启动sequence时,传递的参数包括sequencer、base_sequence、priority、call_pre_post;如果base_sequence不为null,则pre_do、do、post_do调用的是父类对应task,否则,调用的是子类的task.

在这里插入图片描述
3.实例
在这里插入图片描述

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值