vivado中bit文件怎么没有生成_【新手入门】ISE工程升级到Vivado及板级信号调试技术...

本文分享了从ISE工程迁移到Vivado 2018.2环境的过程,包括图形界面配置管脚和板级测试时信号的抓取方法,如Clock Domain、Driver cell和ILA数量的调整。在调试过程中遇到的挑战,如无debug cores、布线问题和时钟域相关问题,提示重新建工程或导入可能为解决之道。
摘要由CSDN通过智能技术生成

由于疫情,大家最近都只能在家中进行学习。同时实验室的研一同学也在通过Teamviewer进行培训项目的实际操作。这次把两位同学的研究成果给大家分享一下,老司机们可以重温一下当年自己新手时的情景,新司机们可以借鉴一下他人的经验。本次实验操作包括:工程的版本迁移,板级测试时信号的抓取。

ISE工程转到Vivado2018.2环境下

版本迁移的操作想必大家已经做过不少了,其中包括从ISE转换到vivado与vivado老版本迁移到新版本。郑智海同学给大家介绍了一下如何把工程从ISE迁移到vivado中。首先我们拿到的是一个ISE的工程,当然是不能够直接用Vivado软件打开的,所以我们要进行第一步操作,将工程导入进去:打开Vivado2018.2后,点”Creat Project”后,再点击Imported Project,勾选ISE后,寻找到ISE工程所放在的位置进行添加,记得添加的是后缀为.xise的文件,因为之前我点的是别的形式,就一直添加不了,这个小问题要注意。操作如下列图步骤所示。 d824c1cec890cf77ece3e1bf0243871f.png

选择好后点击Next键继续,并选择好自己的工程目录。

ab9c8d282fb0a0d739f1b962d07bf2ef.png

Project_1就是我想将工程建在此文件夹中。

71e43fe2deae43a469eed0ae6fba50b5.png 勾选ISE之后,选择ISE所在文件目录。 abb1e81bd228cc01bf901863ea27783c.png选择好ISE工程之后就算成功将ISE导入vivado2018.2了,也就算完成了第一步工作。 c068a93a487f26fb15710e31a84da66e.png 7070536b08b7b4894f1114ee5171d645.png如上面两张图所示,进入vivado2018.2页面后,会显示出这个界面,此时你的IP核在这里是处于过期的状态,因为在ise里的ip核到了vivado中不一定适用,不同软件中的ip核是不兼容的,这里很简单,只需手动升级,即选中ip核并点击右键,有一个upgrade up代表升级,点击之后会出现下面界面: 456e2363f622b19beb31e6899d93f249.png点击ok后进行generate后,ip核就会自动更新完成: d24ca6b8bbe97efff0fd44273581fbbb.png完成ip核更新后,还有一个重要的问题是此时的约束文件的格式是ucf(代表的是ise的约束文件格式),但vivado是不支持这种格式的,我们需要将ucf转成xdc格式。这里我想介绍两种方法:逐行代码手动修改与图形界面配置修改。 1、手动更改根据ucf的约束管脚,对应着写一个xdc文件。 87252c411bc1b9a3a0bdab3a5b199c7c.png逐行修改就是根据上图的转换规则,一行一行地把代码改成xdc形式。下面放上部分一行行修改后的代码。
  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值