gcc升级后为啥还是旧版本_【新手入门】Vivado工程升级及板级信号调试

bea70049ebc54714749686ba8eae3394.png

ID:网络交换FPGA

作者 :李家俊

如何使用新版本的vivado去打开旧版本的vivado工程

李家俊同学给大家介绍了一下如何使用新版本的vivado去打开旧版本的vivado工程。

对于旧版本的vivado工程或者是ise工程,更新到新版本的vivado环境下有两种方法:

1、直接用新版本vivado打开原有工程文件,进行IP核更新;2、拷贝原有工程设计文件、约束文件、IP核文件夹至新建新版本vivado空白工程文件下,进行更新。
1、在旧版本vivado基础上直接升级
我们先来介绍一下如何直接使用新版本打开旧版本工程并且进行升级。 95ceed53dbba3139cb19d6b9b7eef402.png直接使用新版本vivado打开vivado的工程文件。 cc665f8677e22e5fdc8775615531f908.png对于新版本vivado一般在打开旧版本工程时都会自动进行更新默认自动更新就好。打开工程之后,需要对旧版本工程的IP核进行升级操作。点击Reports->Report IP Status查看IP核被锁状态进行升级。 f9f02baab376ba6e958407b5bf33a823.png 1f25c8d17b68da91b0cd34ff787d8b63.png选中所有IP核进行升级。 1ee800282d0c552d8c1d4b63f8199c0b.png点击确定。 daa6a9b3101182100c3f46324a82fbd0.png保持默认不使用核容器,(Core Container没有用过,我都是默认不使用)。 788ca67c74c27b71e934ce865bad1696.png升级完成之后的界面如图所示。

如何使用新版本的vivado去打开旧版本的vivado工程

debug步骤:

在需要debug的输入输出端口列表前加 (* mark_debug = “true” *)。

在编译通过后,进行综合生成网表等文件,点setup debug进行添加。

保存,xdc中应该有约束了,原理图里也有ila_core 模块,直接进行实现即布局布线。

在线调试:生成比特后下载,run trigger。

注意:在有多个debug端口时,会引入1个以上ila_core,每一个ila_core 的时钟域都是独立的&

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值