system verilog随机函数_Systemverilog中的队列操作

systemverilog中的队列操作十分简单,只需要调用函数即可。/*

Exercise platform:    Questa Sim 10.1b

*/

module queue;

int q[$] ;

function void sep();

for( int i = 0 ; i 

$display();

endfunction:sep

function void print(int q[$]);

sep();

foreach(q[i]) $display("queue[%2d] = %d",i,q[i]);

sep();

endfunction:print

initial begin

for(int i = 0 ; i 

print(q);

$display(" push back 10 ");

q.push_back(10);      //   还有 push_front  方法

print(q);

$display(" pop back 10 ");

q.pop_back();        //   还有 pop_front 方法

print(q);

$display(" Delete pos 0 ");

q.delete(0);                 //   删除索引为0的队列成员

print(q);

q = {};    //  清除队列,犹如c++中的析构函数

end

endmodule:queue仿真结果:

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值