8分频verilog线_[笔记].等占空比分频器的几种写法.[Verilog]

1 偶数分频

(1)2的幂分频

案例I 二分频

i: div_2.v

module div_2(

input i_clk,

input i_rst_n,

output o_clk

);

reg [0:0] cnt;

always @ (posedge i_clk, negedge i_rst_n)

if (!i_rst_n)

cnt <= 0;

else

cnt <= cnt + 1'b1;

assign o_clk = cnt[0];

endmodule

ii:RTL视图(QII综合结果)

图1 二分频的RTL视图

iii:仿真波形(QII仿真结果)

图2 二分频的仿真波形

案例II 四分频

i:div_4.v

module div_4(

input i_clk,

input i_rst_n,

output o_clk

);

reg [1:0] cnt;

always @ (posedge i_clk, negedge i_rst_n)

if (!i_rst_n)

cnt <= 0;

else

cnt <= cnt + 1'b1;

assign o_clk = cnt[1];

endmodule

ii:RTL视图(QII综合结果)

图3 四分频的RTL视图

iii:仿真波形(QII仿真结果)

图4 四分频的仿真波形

(2)不是2的幂分频

案例I 六分频

i:div_6.v

module div_6(

input i_clk,

input i_rst_n,

output reg o_clk

);

// log2(6) = 2.5850 <= 3

reg [2:0] cnt;

// 6 bit counter: 0 ~ 5

// 5 = 6 - 1

always @ (posedge i_clk, negedge i_rst_n)

begin

if (!i_rst_n)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值