ti css 仿真读的数据错,DDR3 MIG仿真读操作数据错误

Hi Xilinx专家,

我在仿真DDR3的MIG时,发现读状态的数据有时正确有时错误。正确的情况下:

1f75d570adf8ca5c1f496da94d5acc68.png

我的地址app_addr = 00, 08, 10, 18, 20, 28, ......写入DDR3的数据app_wdf_data = 00010001, 00020002, 00030003, 00040004, 00050005, 00060006, ......在正确的情况下,读出的数据如上图,app_rd_data与app_wdf_data一致;

错误的情况下:

aad3b422c36ed2ed57481feda77eefbc.png

在错误的情况下,读出的数据如上图,第6个周期的app_rd_data忽然出现了一个错误的数据,5ba4f00f........看起来像是一个随机数据。我看到在正确和错误的情况下,app_en和app_rdy信号波形都是一样的,写的数据也是与我期望的一样。正确的与错误的读操作是同一次仿真过程的不同地址,请问这是怎么回事?跟app_rdy有关系吗?

我的芯片ZYNQ7100,开发工具2018.3,仿真工具Questasim10.6c。感谢指导。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
MIG是Xilinx公司的一种高性能存储控制器的IP(Core),用于在FPGA中实现DDR SDRAM存储器接口。DDR SDRAM是一种动态随机存取存储器,被广泛应用于计算机系统中。MIG可以将DDR SDRAM与FPGA集成在一起,并提供对存储器的控制和访问接口,以实现高速的数据传输和存储。 MIG的作用主要有以下几个方面: 1. 控制器功能:MIG提供了完整的存储控制器功能,包括初始化、写命令生成、时序控制、地址映射、数据带宽管理等。用户只需配置MIG的参数和寄存器,即可快速实现存储器接口,无需自行设计和实现复杂的存储控制器。 2. 高性能数据传输:MIG通过提供高带宽的数据通路和优化的协议,实现了高速、可靠的数据传输。它支持数据突发传输、数据对齐和操控等功能,可以满足大规模数据处理和高性能计算的需求。 3. 可定制化:MIG具有高度可配置和可扩展的特点。用户可以根据具体的应用需求,灵活调整MIG的参数和设置,以适配不同的DDR SDRAM规格和性能要求。同时,用户还可以通过自定义IP核或外围电路,拓展MIG的功能和接口。 4. 简化设计流程:MIG为FPGA开发者提供了一个简化的存储器接口设计流程。开发者只需根据用户手册配置MIG的参数和接口,即可自动生成相应的RTL代码,减少了设计的工作量和时间开销,并提高了开发的效率和系统的可靠性。 总之,MIG作为一种高性能存储控制器的IP,为FPGA与DDR SDRAM的集成提供了便利和灵活性,能够有效提升系统的存储和数据处理能力。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值