verilog 移位运算符 说明_关于VerilogHDL中位拼接运算符的理解

1.截取数据的不同位数进行拼接:

例:data1 = 0100_1101

data2 = 1011_0011

data = {data1[3:0],data2[7:4]}

则可得:data = 1101_1011

2.作为移位运算符使用,即在一个数据中对不同的位进行拼接,可以实现移位的作用:

这里需要补充一下移位运算符的用法:

左移:<>

在使用移位运算符时,无论是有符号还是无符号类型,移位出现的空缺一律用0填补,但是当进行的操作数和结果数位数不一致时,二者有明显的差异:

无符号类型:当操作数和结果数不一致时,需要在高位填0后,再进行移位;

有符号类型:当操作数和结果数不一致时,需要在高位填补符号位后,再进行移位。

对于移位运算符,要根据具体的电路去截取不同的位数,这里举一个例子:

data = 0011_0101

data1 = {1’b0,data[7:1]},则data1 = 0001_1010

data2 = (data >> 1),则data2 = 0001_1010

二者实现的效果是一致的。

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值