SystemVerilog与C語言的接口(SystemVerilog DPI C++)

SystemVerilog DPI C++

SystemVerilog DPI (Direct Programming Interface) is an interface which can be used to interface SystemVerilog with foreign languages. These Foreign languages can be C, C++, SystemC as well as others.

DPI allows the user to easily call functions of other language from SystemVerilog and to export SystemVerilog functions, so that they can be called in other languages.

Advantage of DPI is,  it allows to make use of the code exists in other languages.

一、DPI Import and export methods

1、Import Method

The methods (functions/tasks) implemented in Foreign language can be called from SystemVerilog and such methods are called Import methods.

2、Export methods

The methods implemented in SystemVerilog can be called from Foreign language such methods are called Export methods.

It is allowed to transfer the data between two languages through arguments passing and return.

二、DPI Declaration

1、Import Declaration

import “DPI-C” function int calc_parity (input int a);

2、Export Declaration

export “DPI-C” my_cfunction = function myfunction;

3、SystemVerilog DPI Example

(1)Calling C++ method from SystemVerilog file

//----------------------------------------------// SystemVerilog File//----------------------------------------------module dpi_tb;   import "DPI-C" function void c_method();     initial  begin    $display("Before calling C Method");    c_method();    $display("After calling C Method");  end   endmodule //----------------------------------------------// C++ file//----------------------------------------------#include stdio.h#include stdlib.h extern "C" void c_method() {   printf("     Hello World...!\n"); }

Simulator output:

Before calling C Method
  [C-Prog]   Hello World…!
  After calling C Method

(2)Calling SystemVerilog method from C++ file

//---------------------------------------------- // SystemVerilog File //---------------------------------------------- module dpi_tb;     export "DPI-C" function sv_method;   import "DPI-C" context function void c_method();       initial begin     $display("Before calling C Method");     c_method();     $display("After calling C Method");   end     function void sv_method();     $display("  [SV-Prog]  Hello World...!");   endfunction endmodule

 //---------------------------------------------- // C++ file //---------------------------------------------- #include stdio.h #include iostream #include svdpi.h   using namespace std;   extern "C" void sv_method(); extern "C" void c_method() {     printf("  [C-Prog]   Hello World...!\n");   sv_method(); }

Simulator output:

Before calling C Method

[C-Prog]   Hello World…!
  [SV-Prog] Hello World…!
  After calling C Method

---------------------
作者:gsithxy
来源:CSDN
原文:https://blog.csdn.net/gsjthxy/article/details/105206700?utm_medium=distribute.pc_relevant.none-task-blog-2defaultbaidujs_title~default-1.pc_relevant_default&spm=1001.2101.3001.4242.2&utm_relevant_index=4
版权声明:本文为作者原创文章,转载请附上博文链接!
内容解析By:CSDN,CNBLOG博客文章一键转载插件

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值