EDA 电子设计自动化VHDL系列课程8 – 脉冲信号发生器

本EDA课程重点介绍了使用VHDL在Cyclone III FPGA上实现脉冲信号发生器的两种方法:一种基于分频器,通过时钟分频产生脉冲,适用于慢速演示;另一种直接利用时钟信号clk计时,精度更高。
摘要由CSDN通过智能技术生成

EDA 电子设计自动化VHDL系列课程8 – 脉冲信号发生器

本EDA系列介绍的系统环境是:

软件: VHDL编程语言 ;
工具: Quartus13.0
FPGA 芯片是: Cyclone III : EP3C10E144C8
电路板细节在: 添加链接描述

脉冲信号发生器 VHDL 示例 有两种方法

1) 基于分频器的脉冲信号发生器

先对高频时钟信号clk 进行分频,然后用 timer 保存脉冲宽度。会降低时间精度。但是可以慢速展示。

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY pulsegen IS
    PORT(PUL, clk: IN STD_LOGIC; 
    q: OUT STD_LOGIC  	);
END pulsegen;

ARCHITECTURE one OF pulsegen IS
CONSTANT FP: INTEGER:= 39999999;
SIGNAL qb: INTEGER RANGE 0 TO FP; 
SIGNAL timer: INTEGER RANGE 0 TO 800; 
SIGNAL clock: STD_LOGIC;

BEGIN
    PROCESS(clk)
     BEGIN 
        IF clk'EVENT AND clk = '1' THEN
                qb <= qb + 1;
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值