![](https://img-blog.csdnimg.cn/20210419010857190.jpg?x-oss-process=image/resize,m_fixed,h_224,w_224)
硬件
文章平均质量分 77
硬件的内容。还有LogiSim构造CPU,用Vivado写verilog构造CPU
念心科道尊
时间同腐败是一回事。意料不到的东西以意料不到的方式变化,任何人都无从知晓。
展开
-
System verilog【1】基础语法和数据类型+容器+结构体+不可综合的数据类型
某种事情是不可能重新复原的,只能向前推进……不管什么地方,两人能去哪里就去哪里好了——《国境以南太阳以西》原创 2023-05-04 20:59:11 · 621 阅读 · 0 评论 -
System verilog【2】字符串,函数,任务
素手青颜光华发,半世尘缘半世沙。我唤青天睁开眼,风霜怎奈并蒂花原创 2023-05-05 19:52:41 · 1462 阅读 · 1 评论 -
C51:解决ERROR L104: MULTIPLE PUBLIC DEFINITIONS
比如你要写一个模块,fun.c、fun.h如果你在这个模块里定义一个内部的全局变量(只在模块内是全局的),那么就直接定义和声明在fun.h中即可。但是如果你需要一个全局变量,在这个模块被其他地方引用的时候也能奏效的话,那么你应该在fun.c中定义,并在fun.h声明即可。无需在其他文件中声明和定义这个变量。//fun.cunsigned char leds[8]=0;//fun.he...原创 2019-05-12 18:25:37 · 50507 阅读 · 10 评论 -
电磁兼容与电路保护笔记
我同意。”顾慎为说,手里握着三个“唯一的选择”,正好让他的选择多了一些余地。——《死人经》原创 2022-08-29 21:33:14 · 698 阅读 · 0 评论 -
[模拟][模电][面试][运放]仪表放大器
昨天访问量还是29万1千多,今天就变成了28万3千,CSDN又在倒退了!!!原创 2022-08-30 11:24:36 · 845 阅读 · 0 评论 -
[VHDL] 案例模板——就算忘了也要把知识塞入大脑
前言刚刚看到群里有人问要VHDL模板,我都快忘了这事了,VHDL的语法再不记下来就忘了。趁现在还有印象,复习一下几个FPGA实验中写的VHDL代码。简单还是复杂,这要看受众了。文章目标人群就是忘了或者完全的不会的人,让你马上可以使用起来VHDL。这个语言我并不喜欢,太死板了。在了解Verilog前我还对Verilog有所期望,但是那个语言的设计也是一坨屎。设计一些非人 性的东西,考虑了特别多的问题但是语言变得很难用。简短了解一下注释--和lua和SQL一样。用两个连续的减号程序结构libr原创 2021-04-29 01:18:36 · 1423 阅读 · 0 评论 -
VHDL:在Quartus II里编写8位加法器(第一次由代码得到电路图)
前言最近一直没有时间,最想做的“设计一门完备又有效的ruby类语言”这种事也没时间做!由于这个EDA有课,所以就先练习下!VSCode编辑下载一个VHDL插件就好,VSCode的界面看着很舒服library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;--注释entity adder_8bits is port( A,B : in std_logic_vector(7 downt原创 2020-10-01 07:27:12 · 7234 阅读 · 3 评论 -
【LogiSim】便利的仿真软件,有了这个我又对硬件有了兴趣~
前言做一个计数器,使用四个74160和门来做四位十进制计数器,原理什么的不用管了,第一次就先练练使用LogiSim,感受一下它是多么的舒服和便捷。软件资源和参考文章来源:你可能没用过这个电路仿真软件(logisim)软件界面导入别的库 xx.circ 和 xx.jar 文件工程Project => 加载库Load library => LogiSim库 或者 Jar库语言在偏好设置Preference中修改模块新建的电路在main中,想要添加嵌入的子电路方法是工程 =&g原创 2021-04-01 21:59:08 · 4939 阅读 · 2 评论 -
[RISCV] risk5 蜂鸟E200流水线——2021年初学习
前言前面抄书抄得很烦躁,可能是很长时间没有学习过了脑子不灵,或者是我完全是个门外汉,还没进入过CPU的设计世界。这些概念很早就听过,希望这书之后写的能让我明白些。来自《教你设计CPU——RISC-V处理器》...原创 2021-01-01 21:54:46 · 1132 阅读 · 0 评论 -
[RISC-V] risk5 指令集架构简介——2021年初学习
前言没钱没设备还是别学了,我还以为买了书会有用,看了作者的公众号才知道,买开发板送书,你可以去查查FPGA板子要多少钱。这个专栏的内容来自硅亚历山大的书《教你设计CPU——RISC-V处理器》。写博客的目的是自己弄懂一点,这件事如果不动手的话是无法实现的。但是,换句话说,就是抄书,为了避免**问题,扯淡的地方就写点关键句子好了。如果你查资料查到这个专栏,希望能帮助你吧(也许吧)risk5 指令集架构简介1.模块化的指令子集risk5的每个模块用一个字母表示,最基本的指令集模块是 I ——基本整原创 2021-01-01 19:03:25 · 4718 阅读 · 0 评论