Verilog中always @*

‘always @*’ 是 Verilog 中一种常用的敏感性列表的写法,它表示敏感于表达式中使用的所有信号。也称为“无条件敏感性列表”或“自动敏感性列表”。

这种写法的好处是可以让编译器自动检测敏感的信号,不需要手动列出敏感性列表,同时也可以避免遗漏信号。因此,使用 always @* 可以让代码更加简洁、易于维护。

以下是一个简单的例子,说明 always @* 的用法:

module example(input a, b, output c);
    always @* 
    begin
        c = a & b;
    end
endmodule

在上面的例子中,当 a 或 b 信号的值发生变化时,always块内部的代码就会执行,并更新c的值。由于使用了always @*,所以编译器会自动检测a和b信号的变化,因此不需要手动列出敏感性列表。

在一些更老的 Verilog 版本中,使用的敏感性列表是 always @(*),而在 SystemVerilog 中,使用的是 always_comb 关键字来实现类似的功能。

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值