vivado实现异步复位的D触发器

vivado实现异步复位的D触发器

异步复位的D触发器功能

常见的带有异步复位控制端口的上升沿 D 触发器的功能表如下表所示。不难看出,只要复位控制端口的信号有效,D 触发器就会立即进行复位操作。可见,这时的复位操作是与时钟信号无关的。
在这里插入图片描述
在这里插入图片描述

Verilog代码实现异步复位的D触发器

module async_rddf(clk,reset,d,q,qb);
input clk,reset,d;
output q,qb;
reg q,qb;
always @(posedge clk or negedge reset)
		begin if(!reset) begin
			q<=0;
			qb<=1;
		end
		else 
		begin
			q<=d;
			qb<=~d;
		end 
	end
endmodule
  • 11
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值