Verilog描述一个带有异步置位和异步清零的D触发器

1 带有异步置位和异步清零的D触发器的真值表:

在这里插入图片描述

2 Verilog代码描述

module DFF_SR(CLK, D, Rd, Sd, Q, QN);
	input CLK, D, Rd, Sd;
	output Q, QN;
	reg Q_DFF;

	always @(posedge CLK
	 or negedge Rd or negedge Sd)begin
		if(!Rd)
			Q_DFF <= 1'b0;
		else if(!Sd)
			Q_DFF <= 1'b1;
		else
			Q_DFF <= D;
	end

	assign Q = Q_DFF;
	assign QN = ~Q_DFF;
	
endmodule

已经经本人验证,放心使用

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值