【UVM芯片漫游指南_000】总目录(下)——UVM目录

3 篇文章 0 订阅

说明


【UVM芯片漫游指南_000】总目录(下)——UVM目录:

【UVM芯片漫游指南_001】第10章 UVM世界观——类库地图
【UVM芯片漫游指南_002】第10章 UVM世界观——工厂机制
【UVM芯片漫游指南_003】第10章 UVM世界观——核心基类(uvm_object)
【UVM芯片漫游指南_004】第10章 UVM世界观——phase 机制
【UVM芯片漫游指南_005】第10章 UVM世界观——config机制
【UVM芯片漫游指南_006】第10章 UVM世界观——消息管理

【UVM芯片漫游指南_007】第11章 UVM结构——组件家族
【UVM芯片漫游指南_008】第11章 UVM结构——把DUT装进TB(MCDF)、构建验证环境(未完)

【UVM芯片漫游指南_009】第12章 UVM通信——TLM通信
【UVM芯片漫游指南_010】第12章 UVM通信——单向双向多向通信
【UVM芯片漫游指南_011】第12章 UVM通信——通信管道
【UVM芯片漫游指南_012】第12章 UVM通信——TLM2.0(未完,后期用到后完善)
【UVM芯片漫游指南_013】第12章 UVM通信——同步通信元件

【UVM芯片漫游指南_014】第13章 UVM序列——新手上路
【UVM芯片漫游指南_015】第13章 UVM序列——sequence和item(未完)
【UVM芯片漫游指南_016】第13章 UVM序列——Sequencer和Driver
【UVM芯片漫游指南_017】第13章 UVM序列——Sequencer和sequence(1) 发送seq/item
【UVM芯片漫游指南_018】第13章 UVM序列——Sequencer和sequence(2) sequencer的仲裁特性
【UVM芯片漫游指南_019】第13章 UVM序列——sequence的层次化

【UVM芯片漫游指南_020】第14章 UVM寄存器——寄存器模型概览

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
UVM提供了uvm_reg_backdoor类,用于在测试中访问寄存器的内部实现。这个类可以让我们在测试中使用不同的方式来访问寄存器,以验证寄存器的功能和性能。 uvm_reg_backdoor类主要有两个方法: - `void read(uvm_reg_item rw)`:读取寄存器的值,将结果存储在rw.value中。 - `void write(uvm_reg_item rw)`:写入寄存器的值,将值存储在rw.value中。 其中,`uvm_reg_item`是一个包含寄存器地址、写入/读取值等信息的uvm序列化对象。 要使用uvm_reg_backdoor类,我们需要创建一个新类,继承自uvm_reg_backdoor。在新类的构造函数中,我们需要调用基类的构造函数,并通过该函数将要访问的寄存器作为参数传递。 下面是一个使用uvm_reg_backdoor类的示例: ```systemverilog class my_reg_backdoor extends uvm_reg_backdoor; `uvm_object_utils(my_reg_backdoor) function new(string name = "my_reg_backdoor"); super.new(name); endfunction virtual function void read(uvm_reg_item rw); // 从寄存器中读取值 endfunction virtual function void write(uvm_reg_item rw); // 将值写入寄存器 endfunction endclass ``` 在测试中,我们可以使用uvm_reg_backdoor类的实例来访问寄存器。例如: ```systemverilog my_reg_backdoor my_bd = new; uvm_reg_item rw = new; rw.element = my_reg; rw.kind = UVM_REG; rw.path = UVM_FRONTDOOR; rw.offset = 0; rw.value[0] = 0x1234; my_bd.write(rw); // 从寄存器中读取值 my_bd.read(rw); $display("value = %h", rw.value[0]); ``` 使用uvm_reg_backdoor类可以方便地访问寄存器的内部实现,从而进行更全面和深入的验证
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值