自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 收藏
  • 关注

原创 FPGA自学之路15(同步 FIFO )

FIFO(first-in, first-out)顾名思义就是先进先出。FIFO常见参数:宽度,深度,满标识,空标识,读指针,写指针。计数器法:用计数器来计数数据数个数。

2023-07-12 21:47:31 120 1

原创 FPGA自学之路13(pll锁相环ip核)

pll锁相环ip核

2022-11-16 20:39:01 658 1

原创 FPGA自学之路12(二进制转换8421bcd码)

二进制转换8421bcd码

2022-11-08 16:36:17 1980

原创 FPGA自学之路11(6位8码数码管静态显示)

静态6位8码数码管显示

2022-11-03 16:23:52 591

原创 FPGA自学之路10(无源蜂鸣器)

无源蜂鸣器

2022-11-01 15:33:37 321

原创 FPGA自学之路9(状态机,较复杂的可乐机)

状态机

2022-10-26 20:33:17 234

原创 FPGA自学之路8(状态机,最简单的可乐机)

状态机,可乐机

2022-10-26 19:04:12 276

原创 FPGA自学之路7(呼吸灯,PWM)

PWM,呼吸灯

2022-10-25 21:43:16 485

原创 FPGA自学之路6(流水灯)

流水灯

2022-10-25 21:35:18 293 2

原创 FPGA自学之路5(边沿采集,触摸按键控制led灯)

边沿采集

2022-10-24 16:38:11 226

原创 FPGA自学之路3(计数器or5分频器(奇分频))

奇分频

2022-10-24 14:56:17 262

原创 FPGA自学之路4(按键消抖)

自学之路

2022-10-21 21:29:47 382 1

原创 FPGA自学之路2(计数器or6分频器(偶分频))

计数器与6分频器

2022-10-20 16:00:07 636

原创 FPGA自学之路1(半加器和全加器的实现)

自学之路,就记录点滴

2022-10-19 15:33:59 985

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除