FPGA自学之路9(状态机,较复杂的可乐机)

框图如下,4个输入,可以投0.5元,1元。输出是是否找零和是否出可乐。这里可乐定价2.5元。总共5个状态

 

 

 代码如下,还是采用的独热码编码state。

module  complex_fsm 
(
    input  wire         sys_clk         ,
    input  wire         sys_rst_n       ,
    input  wire         pi_money_half   ,
    input  wire         pi_money_one    ,
    output  reg         po_cola         ,
    output  reg         po_money
);
parameter   IDLE        =   5'b00001;
parameter   HALF        =   5'b00010;
parameter   ONE         =   5'b00100;
parameter   ONE_HALF    =   5'b01000;
parameter   TWO         =   5'b10000
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值