vivado~floating-point 使用将ad的定点数转成浮点数

22 篇文章 8 订阅
19 篇文章 0 订阅

目标

我希望将我的并行的ad通道的数据实现定点数向浮点数的转化,这个时候,我采用的是ip core, 实现12位的定点到浮点。这个转化是有一定的时间的消耗的,这个耗时具体多少后面我考虑到的时候再来完善文档。

定点转浮点

step1

在这里插入图片描述

step2

在这里插入图片描述

step3

在这里插入图片描述

step 4

在这里插入图片描述

step 5

在这里插入图片描述

两通道乘法给结果

step 1

在这里插入图片描述

step 2

在这里插入图片描述

step 3

在这里插入图片描述

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

没有水杯和雨伞的工科男

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值