FPGA图像接口.SDI转HDMI和MIPI

最近忙于搞项目,课程开发和公众号都落下了,今天更新一个最近刚完工的项目。
整个项目的需求主要是转发SDI进来的四路图像,通过hdmi和mipi转发到rk3588,FPGA使用的复旦微的K7-325t,除此之外还有一个备用的PCIE,DDR用来实现数据的缓存。

整个图像链路如下,SDI的四路数据进来后,分别写到DDR指定的地址中,然后通过TPG模块,控制数据读取,实现vesa时序,分别送给hdmi输出逻辑和mipi发送逻辑,SDI输入的图像为1080p30帧的yuv422图像。
图片

整个项目里有两个棘手的地方,一个是输入输出时序的匹配,另一个就是输入图像稳定性,第一个问题狼哥这里借助了DDR来缓存,实现了输入和输出时序的匹配,第二个问题主要是针对输入不稳定导致图像紊乱,这里狼哥对它做了帧对齐,保证了即使插拔SDI输入数据线,也能保证图像能够自恢复,保持输出图像正常。下面是一个小小的演示视频,工程烤机一天插拔SDI数据线后的图像显示,可以看到插拔后图像依然正常显示。

SDI转HDMI和MIPI演示视频

除了本篇提到的图像接口互转,狼哥还有别的一些图像接口互转,在这就不一一例举了,有开发需求的欢迎加狼哥微信洽谈合作,对图像课程感兴趣的也请滴滴狼哥,实际工程经验提取,少走弯路。

往期精彩文章列表*
FPGA图像算法.横条纹消除
FPGA图像算法.竖条纹消除术
2024功利性学习目录合集
Zynq系统化入门进阶详细教程
基于Zynq的图像处理入门课程
FPGA图像Canny四图拼接显示项目
FPGA之Mpsoc的VCU压缩解压demo
FPGA图像无极缩放.Demo2
FPGA图像算法.无极缩放
FPGA图像算法.导向滤波
狼板001PLUS上线,首发优惠进行中
点击上面链接查看详情

  • 8
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值