quartus-ModeliSm仿真教程

本文详细介绍了如何在Quartus环境下与ModeliSim进行联调,进行FPGA项目的仿真验证。从设置仿真工具为ModeliSim-Altera,到通过Tcl宏执行仿真波形文件,再到编写和修改波形文件以观察信号变化,每个步骤都有清晰的指导,帮助开发者顺利完成VHDL模块的时序检查。
摘要由CSDN通过智能技术生成

     在完成VHDL模块的编写后,检验自己写的时序是否有误的最好方法就是进行仿真了。

      然而quartus是我用过的最奇怪的软件了,因为它的每个版本包含的功能都不相同。就拿仿真来说,8.0的版本quartus自带有仿真功能,12.0的则没有;13.1版本就又自带仿真了,然后再用到17.1好像又找不到自带的仿真了:(

     为了方便起见,应该学会利用quartus与modelism的联调,步骤如下:

1、首先在菜单中的Assignments中选择Settings,按照下图的步骤将仿真设置为ModeliSim-Altera,然后点击OK。

 

2随后重新编译自己的工程。

3随后点击菜单中的Tools->Run Simulation Tool->RTL Simulation,打开modelism

.

4.打开后,在左侧library中单击work,会弹出你所写的实体,然后双击你的实体。

  • 2
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
回答: 要使用QuartusModelSim进行联合仿真,可以按照以下步骤进行操作。首先,编辑Verilog HDL语言代码,然后在Quartus中绘制原理图。接下来,可以使用Quartus生成激励文件,并对其进行编辑以产生激励信号。然后,选择ModelSim作为仿真软件,并设置ModelSim软件路径和vt文件路径。添加vt文件后,可以运行联合仿真,这将自动打开ModelSim并生成波形图。最后,可以查看仿真结果并进行必要的调整。\[2\] 请注意,具体的操作步骤可能会因软件版本和设置而有所不同。建议参考QuartusModelSim的官方文档或教程以获取更详细的指导。 #### 引用[.reference_title] - *1* *3* [quartus+modelsim仿真教程](https://blog.csdn.net/qq_43516928/article/details/123113332)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [quartusmodelsim联合仿真详细教程](https://blog.csdn.net/waj123456WAJ/article/details/107999187)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值