quartus-ModeliSm仿真教程

     在完成VHDL模块的编写后,检验自己写的时序是否有误的最好方法就是进行仿真了。

      然而quartus是我用过的最奇怪的软件了,因为它的每个版本包含的功能都不相同。就拿仿真来说,8.0的版本quartus自带有仿真功能,12.0的则没有;13.1版本就又自带仿真了,然后再用到17.1好像又找不到自带的仿真了:(

     为了方便起见,应该学会利用quartus与modelism的联调,步骤如下:

1、首先在菜单中的Assignments中选择Settings,按照下图的步骤将仿真设置为ModeliSim-Altera,然后点击OK。

 

2随后重新编译自己的工程。

3随后点击菜单中的Tools->Run Simulation Tool->RTL Simulation,打开modelism

.

4.打开后,在左侧library中单击work,会弹出你所写的实体,然后双击你的实体。

  • 2
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值