高速电路设计----第三章(2)LVDS信号详解

一、TTL和CMOS不适用于高速电路设计的原因(都是数字电路信号)

         原因:

                ①电平幅度较大,电平最低都达到了2.5V或者3.3V。因此信号沿变化所需要的时间很长。不适合大于200MHZ的信号。

                ②容易被干扰,输出信号为单端,在传输路径容易受到干扰,不利于远距离传输。

                ③功耗大。功耗分为静态功耗动态功耗。因为电平摆动大,所以导致动态功耗大。部分CMOS静态功耗较小,但是普遍都大。动态功耗很大。

二、高速电平的优势

        LVDS、LVPECL、CML三种电平适用于高速电路。LVDS信号最常用。

        原因:①电平摆幅窄,②支持远距离传输,③功耗小。

高速电路都是采用差分信号进行传输。差分技术的优势:

        ①抗干扰能力强。

        ②对参考平面(地平面或者电源平面)完整性要求较弱。

        ③抑制串扰、EMI能力强。

        ④功耗小,速率高、不受温度、电压波动的影响。

LVDS、LVPECL、CML特性图如下:

        

案例:

        EMC测试时,发现用户面板上USB口附近,399MHz处辐射超标。

        检查之后发现PCB板子:1、USB口的差分对走线相邻层有时钟信号线。距离仅为2mil。

                                 2、与差分线走线平行,平行长度达到1400mil。

                                 3、且距离差分对7mil有时钟线的过孔。

                               三种都会对差分线进行干扰。导致辐射超标。

最后通过PCB改版。将时钟和差分线间距增大到20mil,完美解决了问题。(通常是3倍走线线宽以上)

三、差分信号需要注意的问题

(1)差分对等长问题 (必须等长)

走线长度要一致(总误差4mil以内),长度不一致会导致时序问题,导致参考平面的回流无法完全抵消,从而产生共模噪声

②在平行和等长之间,先保证等长。

地平面要完整。地平面不完整会产生共模噪声,严重时会产生EMI问题。

(2)差分对内两个信号的间距越小越好

 ①间距越小,附件的干扰对差分对内两信号的影响接近一致,方向相反,近似等于抵消。

 ②差分对内两信号电流相反,形成电流环路,电流环路能产生磁场,磁场又能产生电场,从而构成电磁干扰。所以减小两线间距,能较小电流环路,从而减小电磁干扰。

(3)差分对内两个信号的间距要保持一致。不一致会产生反射。

(4)差分信号需要进行阻抗控制,通常是100Ω。

(5)尽量保证差分对信号线附件的过孔是对称的。

四、LVDS信号介绍

    最高速度为3.125Gbps,一般应用于点到点的场合。      

举例子:用于并行高速总线SPI4.2的I/O接口电平。

1、驱动器驱动电流为  3.5mA。

2、终端通过在差分线两端接100Ω电阻形成350mV的电压摆幅。

3、正向流动,产生逻辑电平1。反向流动,产生逻辑电平0。

4、摆幅小,功耗低,功耗为 3.5mA*350mV=1.2mW。不随着频率升高而增大功耗。

5、高速逻辑电平中,LVDS是功耗最低的。

                                                下图是 TIA/EIA-644 LVDS标准

在应用中,设计者应该关注以下几个方面:

        1、   LVDS接受方对信号的共模电平要求很低。电压在0~2.4V均可以。(LVPECL不具备这种特性)所以LVDS适合板间长距离信号的传输。因为差分摆幅最大值为454mV。因此运行输入端信号携带的直流偏执电压范围为0.227V~2.173V。如果不满足上述要求。应采取AC(交流)耦合。

        2、LVDS接收端对输入差分对信号摆幅的要求是100mV。(差分对的压差必须要有100mV才能正常工作)

        3、LVDS信号在终端必须在差分对上串接一个100欧电阻。作用是:①用于实现电流向电压转化。②实现阻抗匹配。

        4、LVDS信号的变化速率较低。爬升时间为0.5ns。所以信号沿变化速率是0.7V/ns,变化速率越低。EMI就越小。所以LVDS电平有助于减小EMI。

        5、一个芯片可能有两对甚至更多LVDS差分线,空闲的输入引脚应浮空。防止产生噪声。空闲的输出引脚应浮空。以减小功耗。

        6、LVDS不适用于数据速率为2Gbps以上的应用。如果速率需要超过Gbps以上,应考虑LVPECL电平。

注意:空闲的LVDS引脚就应该直接浮空,不能做上下拉处理。主控板FPGA上的空闲的LVDS引脚做上下拉处理时。PCB位置应该尽量靠近主芯片。避免因为走线距离太远。信号线与旁边的信号线产生串扰。串扰的电压大于100mV。就会使得电平状态发生变化。

解决方式:①改版时删除上下拉。②关闭FPGA内部的空闲端口。

拓展:

        在高速设计中,高速差分对经常作为板间互连接的接口信号。设计者应该关注 TTL、COMS等单端信号对这些差分信号的共模噪声影响。虽然差分信号的接收端对共模噪声的抑制能力很强,一般不至于出现判断错误,但在差分信号线的上的共模噪声却可以产生对外辐射,成为一种EMI源。对此,有两种解决方法,

        ①在PCB上增大单端信号和差分信号的间距。

        ②降低单端信号的驱动电流。例如选择低驱动能力的逻辑器件,或者FPGA设计中,将输出端口设置为弱电流驱动模式。

五、LVDS端口保护

        LVDS是常用的板间互连接口。当A板不在位时,B板LVDS接收器的输入端失去了驱动源,处于开路状态。此时,接收器的输出端将保持为逻辑“1”(由LVDS器件的内部逻辑保证),这种状态是正确的。

        一旦输入端信号线(在B板上,从背板连接器到LVDS接收器之间的信号线)上引入了噪声,且达到接收端的阈值100mV,这种正确的状态将被打破,使接收器的输出端状态翻转为逻辑“0”。

        解决方法有很多种,①某些LVDS接口器件内置有保护电路,使用时和普通LVDS器件一样,外加100Ω端接电阻即可,②某些LVDS接口器件则需要外加保护电路。

        下图是一个外加保护电路的例子。

        

        除了串接了电阻Rt外,外置保护电路增加了R1和R2两个电阻形成偏执电路。

        LVDS接收器输入端浮空时,VID会有个固定的正偏执电平。从而提高更大的噪声范围,但噪声小于VID时,接收端器的输出仍可保持逻辑“1”的状态。一般这个值为mV。

          举例子,以25mV为例。求R1和R2的阻值

        VID=25mV=100*3.3V/(R1+R2+100)

        VOS=1.25V=(R2+50)*3.3V/(R1+R2+100)

1.25V是前面的规格书里面写的输出信号的共模电平(1.125~1.375V)取中间值。

注意: R1、R2、Rt都应该尽量靠近接收端位置,且偏执电路要远远小于LVDS提供的3.5mA电流,以免影响LVDS接收器的正常工作。

3.3V/(R1+R2+100)= 0.248 mA。满足要求。

这种外部保护电路存在一定的局限性。

        ①可能导致LVDS信号的占空比失真。

        ②由于增加两个电阻会占PCB位置。

        

        

        

  • 1
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
### 回答1: Xilinx-lvds输入接口设计主要是实现对高速差分信号传输的支持,其基本构成由lvds输入模块和相关电路组成。由于lvds电平具有高噪声容限、低功耗、高速传输等优点,在高速数据传输领域被广泛应用。在设计xilinx-lvds读写功能时,首先需要开发相应的硬件平台,然后在该平台上构建读写操作的相关逻辑电路。 xilinx-lvds输入接口的设计需要注意以下几点: 1.物理层接口设计。应根据实际应用需求,选择合适的差分信号传输线路,并对线路进行一定的优化设计,以提高传输质量、降低噪声等级。 2.信号解调设计。应该根据lvds信号传输的协议规范,对差分信号进行解调,提取操作所需的有效信号,以满足读写操作的需要。 3.芯片外部器件设计。应根据芯片器件的规格书要求,选用合适的外部器件,如电阻、电容等,以提高信号品质,降低噪声。 4.读写逻辑电路设计。在上述基础上,可以构建读写操作相关的逻辑电路,通过适当的组合与调试,实现对操作信号的读写控制。 最终,执行性能测试,以验证xilinx-lvds输入接口设计的正确性和稳定性。掌握上述关键技术,可以根据不同的应用要求,实现高速、稳定的lvds数据传输与读写功能。 ### 回答2: 在设计LVDS输入接口时,需要考虑多个因素。 首先,需要确定电路中使用的LVDS芯片,以便确定其电气特性。接着,需要对输入信号进行差分和偏置控制。 在使用Xilinx FPGA实现LVDS读写功能时,需要使用FPGA的IO Banks,其中包括LVDS IO。具体步骤为:首先,将LVDS接口通过差分器连接到IO端口上。然后,需要对IO Banks进行正确的布置和引脚映射,以确保LVDS信号能够被正确接收和发送。 接下来,需要使用芯片供应商提供的LVDS驱动器和接收器(例如TI或ADI)来配置芯片。要注意的是,在使用LVDS接口时,时序约束非常重要,尤其是时钟和数据的延迟和对齐问题。 在完成LVDS接口的硬件设计后,需要使用FPGA设计软件实现读写功能。可以使用Xilinx提供的IP核或自己编写Verilog代码来实现。根据芯片的不同,可能需要对接口进行协议转换(例如,从LVDS到DDR3)。 最后,需要进行测试和验证,确保接口能够正常运行并符合时序约束。这可以通过使用示波器等测试工具来完成。 总之,设计LVDS输入接口需要考虑多个因素,并在硬件和软件层面上进行仔细设计和测试。 ### 回答3: 在Xilinx FPGA设计中,设计LVDS输入接口是一项常见的任务。要实现LVDS输入,需要考虑电源和传输线路的噪声,以及时钟精度和稳定性等方面的问题。下面是一些常见的步骤和技巧,用来设计Xilinx LVDS读写功能的实现。 首先,需要使用LVDS接收器芯片来接收传输线路上的LVDS信号。在Xilinx FPGA中,推荐使用ISERDES模块来实现LVDS输入。ISERDES模块可以将LVDS信号转换为FPGA内部的信号。在使用ISERDES模块时,需要注意时钟的相位和时序同步。 其次,需要考虑双差输入和共模抑制的问题。双差输入是指LVDS信号是两个互为反相的信号,需要对两个信号进行差分输入。共模抑制是指尽可能排除共模噪声干扰,提高信号的稳定性和可靠性。 最后,需要仔细设计PCB布局,保证LVDS接收器芯片的电源和地线稳定,以及传输线路的匹配和降噪设计。同时,还需要根据具体的设计要求选择适当的输出接口,例如LVDS输出或TTL输出。 综上所述,实现Xilinx LVDS读写功能的关键是设计合理的接收器电路、时钟同步和匹配,降低噪声干扰,提高信号的可靠性和稳定性。在实际的设计过程中,需要仔细考虑系统要求、布局约束和测试方法等方面的问题,以确保设计的可行性和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值