ZYNQ linux AXI 读写,ZYNQ linux下AXI_BRAM的使用方法,PS与PL端数据交互

1、AXI总线、AXI接口、AXI协议

总线是一组传输通道,是各种逻辑器件构成的传输数据的通道;接口是一种连接标准,又常被称为物理接口;协议是数据传输的规则。

PS与PL连接方式主要是通过AXI总线进行的。ZYNQ上的总线协议有AXI4, AXI4-Lite, AXI4-Stream三种总线协议。而PS与PL之间的接口(AXI-GP、AXI-HP、AXI-ACP)只支持AXI4与AXI4-Lite这两种总线协议。

2、BRAM简介

Block RAM是PL部分的存储器阵列,就相当于在PL中开辟一片空间来存储数据,通过端口来进行读写。

BRAM设置模式:

1、单端口:通过一个端口对BRAM进行读写。

2、简单双端口:有两个端口连接到BRAM,一个端口只读,一个端口只写

3、真双端口:两个端口都可以对BRAM进行读写。

3、vivado设计

前提:创建好了工程并导入了ZYNQ核

打开AXI GP0 和 AXI GP1的接口

9caf2a4cf5eecee9777459ae32f009df.png

fc350e0e1d8cab317a9406c89aa82eff.png

将M_AXI_GP0_ACLK连接到FCLK_CLK0

7dc1a3f4803514cb8b5724171f77d805.png

导入两个BRAM 控制器

ad9a093038519e16e707dfe267bac3f4.png

26138bfa5c6317536ad71d60c4f204b8.png

设置为单端口

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值