ZYNQ linux下AXI_BRAM的使用方法,PS与PL端数据交互

1、AXI总线、AXI接口、AXI协议
总线是一组传输通道,是各种逻辑器件构成的传输数据的通道;接口是一种连接标准,又常被称为物理接口;协议是数据传输的规则。

PS与PL连接方式主要是通过AXI总线进行的。ZYNQ上的总线协议有AXI4, AXI4-Lite, AXI4-Stream三种总线协议。而PS与PL之间的接口(AXI-GP、AXI-HP、AXI-ACP)只支持AXI4与AXI4-Lite这两种总线协议。

2、BRAM简介
Block RAM是PL部分的存储器阵列,就相当于在PL中开辟一片空间来存储数据,通过端口来进行读写。
BRAM设置模式:
1、单端口:通过一个端口对BRAM进行读写。
2、简单双端口:有两个端口连接到BRAM,一个端口只读,一个端口只写
3、真双端口:两个端口都可以对BRAM进行读写。

3、vivado设计
前提:创建好了工程并导入了ZYNQ核

打开AXI GP0 和 AXI GP1的接口
在这里插入图片描述
在这里插入图片描述

将M_AXI_GP0_ACLK连接到FCLK_CLK0
在这里插入图片描述

导入两个BRAM 控制器
在这里插入图片描述

在这里插入图片描述
设置为单端口

在这里插入图片描述

导入一个BRAM
在这里插入图片描述

设置为真双端口模式

在这里插入图片描述
进行设计连接
在这里插入图片描述
进行1的时候需要修改主机从GP0 改为GP1
在这里插入图片描述
最终的生成图
在这里插入图片描述
可以在address editor中查看自动分配的BRAM控制器的地址,不要随意更改地址,因为其他地址可能被其他设备占用了。
axi_bram_ctrl_0 0x40000000
axi_bram_ctrl_1 0x80000000

在这里插入图片描述

接下来生成bit流,将硬件资源导入petlainux中,生成BOOT.BIN 和image.ub

将生成的BOOT.BIN和内核放到板子上,启动系统。

进行编程:


#include <stdio.h>
#include <unistd.h>
#include <sys/mman.h>
#include <sys/types.h>
#include <sys/stat.h>
#include <fcntl.h>

#define BRAM_CTRL_0 0x40000000
#define BRAM_CTRL_1 0x80000000
#define DATA_LEN    10

int main(int argc, char **argv)
{
   
    unsigned int *map_base0;
    unsigned int *map_base1;

    int fd = open("/dev/mem", O_RDWR | O_SYNC);
    if (fd < 0) {
   
        printf("can not open /dev/mem \n");
        return (-1);
    }   
    printf
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值