小梅哥Xilinx FPGA学习笔记4——流水灯(跑马灯)

〇、功能介绍

1.功能描述

8个Led灯以0.5s的的速率循环闪烁。

一、代码编写

1.设计文件

`timescale 1ns / 1ns
module pipeline_led(clk,reset_n,led

    );
    input clk;
    input reset_n;
    output reg [7:0] led;
    reg [24:0] counter;
    //计数器进程
    always@(posedge clk or negedge reset_n)
    if(!reset_n)
        counter <=0;
    else if(counter==25000000-1)
//    else if(counter==8'd24999)    //缩小仿真时间,验证功能,与下一条语句是相同的意思
//    else if(counter==24999)    //缩小仿真时间,验证功能
        counter <=0;
    else 
        counter <=counter +1'b1;
        
        
    //led进程    
    always@(posedge clk or negedge reset_n)
    if(!reset_n)
        led <= 8'b0000_0001;
    else if(counter==25000000-1)begin 
//    else if(counter==8'd24999)begin   //缩小仿真时间,验证功能,与下一条语句是相同的意思
//    else if(counter==24999)begin   //缩小仿真时间,验证功能
        if(led ==8'b1000_0000)
            led <=8'b0000_0001;//当1处于最高位时,无法通过移位进行处理
        else
            led <= led<<1;//每当计数器1在[6:0]时,1左移一位
    end
    else 
        led <=led;//此处的else的执行语句,可以省略不写
   
endmodule

2.激励文件

`timescale 1ns / 1ns

module pipeline_led_tb(    );
    reg clk;
    reg reset_n;
    wire [7:0]led;
    
    pipeline_led pipeline_led(
    .clk(clk),
    .reset_n(reset_n),
    .led(led)
    );
    
    initial clk=1;
    always #10 clk=~clk;//建立仿真时钟信号
    
    initial begin
    reset_n=0;
    #201;
    reset_n=1;
    #4000000000;
    $stop;
    end

endmodule

3.仿真图

仿真图是以(counter==8’d24999时,counter <=0;)为基准的仿真,横坐标一个大刻度1ms,led灯0.5ms亮一次(24999+1)*20ns=0.5ms

    //计数器进程
//    else if(counter==25000000-1)
    else if(counter==8'd24999)    //缩小仿真时间,验证功能,与下一条语句是相同的意思
//    else if(counter==24999)    //缩小仿真时间,验证功能

//………………………………
    //led进程    
//    else if(counter==25000000-1)begin 
    else if(counter==8'd24999)begin   //缩小仿真时间,验证功能,与下一条语句是相同的意思
//    else if(counter==24999)begin   //缩小仿真时间,验证功能

在这里插入图片描述

二、总结

1.学习板上晶振为 50MHz,也就是说时钟周期为 20ns,这样可以计算得出 500ms =
500_000_000ns/20ns = 25_000_000,即需要计数器计数 25_000_000 次,也就是需要一个至少25 位的计数器(225>25_000_000>224)。且每当计数次数达到需要清零并重新计数。

8'd25_000_000=25'b1_0111_1101_0111_1000_0100_0000

2.可以用"位拼接"{led[6:0,led[7]]}对led进程进行改写;

    //led进程    
    always@(posedge clk or negedge reset_n)
    if(!reset_n)
        led <= 8'b0000_0001;
    else if(counter==25000000-1)begin 
//    else if(counter==8'd24999)begin   //缩小仿真时间,验证功能,与下一条语句是相同的意思
//    else if(counter==24999)begin   //缩小仿真时间,验证功能
        
        led <= {led[6:0],led[7]};//每次都将上一次的低7位放到高7位上,最高位led[7]赋值到最低位led[0]上
    end
    else 
        led <=led;//此处的else的执行语句,可以省略不写

3.对led <= {led[6:0],led[7]}语句的解释

如:初始值为0000_0001
	第一次赋值变为:{0000001,0},即0000_0010;
	第二次赋值变为:{0000010,0},即0000_0100;
	第三次赋值变为:{0000100,0},即0000_1000;
	第四次赋值变为:{0001000,0},即0001_0000;
	第五次赋值变为:{0010000,0},即0010_0000;
	第六次赋值变为:{0100000,0},即0100_0000;
	第七次赋值变为:{1000000,0},即1000_0000;
	第八次赋值变为:{0000000,1},即0000_0001;
	第九次赋值变为:{0000001,0},即0000_0010;
	第十次赋值变为:{0000010,0},即0000_0100;
	…………………………
	则可以实现8个led灯的亮灭循环。

4.复位不可以和后面的执行语句合并在一起使用

//正确写法
    if(!reset_n)
        counter <=0;
    else if(counter==24999)    //缩小仿真时间,验证功能
        counter <=0;
//合并的错误写法
 if((!reset_n) || (counter==25000000-1))
 	counter <=0;

后记:志士惜日短,愁人知夜长。

  • 0
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
小梅哥学习Xilinx FPGA期间进行了积极的探索,特别是对Cyclone V SOC的开发程进行了学习。从裸机到基于Linux嵌入式系统,小梅哥实现了FPGA和ARM Cortex-A9 CPU之间的双向控制和数据传输。 在代码编写方面,小梅哥设计了一个名为mux2的模块,用于实现二选一多路器。模块包含了输入和输出端口,并通过assign语句实现了信号的赋值。 在激励文件中,小梅哥使用reg和wire定义了输入和输出信号,并通过mux2模块进行了实例化。然后,通过initial块生成了一系列的激励信号,对模块进行仿真。 总结来说,小梅哥Xilinx FPGA学习笔记中记录了自己对Cyclone V SOC的学习、代码编写和激励文件的使用。这些学习内容对于理解FPGA开发程以及实现特定功能非常有帮助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [FPGA自学笔记——设计与验证VIP版.pdf](https://download.csdn.net/download/qq_30307853/11656682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [小梅哥Xilinx FPGA学习笔记1——二选一多路器](https://blog.csdn.net/weixin_42454243/article/details/122026484)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值