小梅哥Xilinx FPGA学习笔记5——调用子模块使用三八译码器设计流水灯

目录

一、功能介绍

1.功能描述

二.代码编写

1.设计文件

2.激励文件

3.仿真图

三、总结


一、功能介绍

1.功能描述

8个Led灯以0.5s的的速率循环闪烁,调用三八译码器模块完成该设计。
三八译码器模块见小梅哥Xilinx ZYNQ学习笔记2——38译码器-CSDN博客

二.代码编写

1.设计文件

设计文件共两个,一个LED_Run3.v文件;一个decoder_3_8.v文件

LED_Run3.v文件:

`timescale 1ns / 1ns
//使用三八译码器实现跑马灯
module LED_Run3(
    input Clk,
    input Reset_n,
    output  [7:0]Led
    );
    //用计数器计时0.5s代码
    reg [24:0]counter;
    
    parameter MCNT = 25_000_000-1;//将其参数化方便程序修改。
    
    always@(posedge Clk or negedge Reset_n)
    if(!Reset_n)
        counter <= 0;
    else if(counter == MCNT)
        counter <= 0;//结果都是0,但是不能合并写成if(!Reset_n|counter == 25_000_000-1),因为D触发器有专门的复位和计数,要分开。
    else 
        counter <= counter+1'd1;
    
    reg [2:0]counter2;
        
    always@(posedge Clk or negedge Reset_n)
    if(!Reset_n)   
        counter2 <= 0;
    else if(counter == MCNT)  
        counter2 <= counter2 + 1'd1;//由于计数器溢出清零的特性,所以不用考虑计数器到7以后再变为零       
        
    decoder_3_8 decoder_3_8_inst0(
        .A0(counter2[2]),
        .A1(counter2[1]),
        .A2(counter2[0]),  
        .out(Led)//系统自动分配8对应LED输出,并且LED的输出此时是由三八译码器输出决定的,所以不再使用weg型,而应该是wire型
    );          
endmodule

decoder_3_8.v文件:

module decoder_3_8(
    A0,
    A1,
    A2,
    out
);
    input A0;//输入端口
    input A1;//输入端口
    input A2;//输入端口
    output [7:0]out;//输出端口,并且out是一个八位数值
    
    reg [7:0]out;//always过程赋值语句中等式左边必须为reg类型,否则会报错,无法赋值;声明类型时不要忘记带上位数[7:0]

always@(*)//@(*)中的*代表所有的输入变量均为敏感信号
    case({A0,A1,A2})//{}表示的是位拼接,{A0,A1,A2}组成一个三位数值
        3'b000:out=8'b0000_0001;
        3'b001:out=8'b0000_0010;
        3'b010:out=8'b0000_0100;
        3'b011:out=8'b0000_1000;
        3'b100:out=8'b0001_0000;
        3'b101:out=8'b0010_0000;
        3'b110:out=8'b0100_0000;
        3'b111:out=8'b1000_0000;
        default: out=8'b0000_0000;//虽然所有的情况都已经列举出来了,但是要写上保持良好的代码风格
    endcase//与case配合使用
endmodule

2.激励文件

`timescale 1ns/1ns

module LED_Run_tb();

    reg Clk;
    reg Reset_n;
    wire [7:0]Led;

//LED_Run3 LED_Run_insit3(
//    .Clk(Clk),
//    .Reset_n(Reset_n),
//    .Led(Led)
//    );
    
//    defparam LED_Run_insit3.MCNT = 25000-1;//使用defparam来修改设计源文件中MCNT的值,这样就不会在设计文件和仿真文件中来回切换数值
 //另外一种修改设计源文件值写法如下。   
LED_Run3 
    #(
        .MCNT(25000-1)
    )
LED_Run_insit3(
      .Clk(Clk),
      .Reset_n(Reset_n),
      .Led(Led)
    );
    
    initial     Clk=1;
    always #10  Clk =~Clk;
    
    initial begin
        Reset_n = 0;
        #201 Reset_n = 1;
        #40_000_000;       
        $stop;
    end
endmodule

3.仿真图

三、总结

1.调用子模块decoder_3_8的时候,对其端口进行例化

 decoder_3_8 decoder_3_8_inst0(
        .A0(counter2[2]),
        .A1(counter2[1]),
        .A2(counter2[0]),  
        .out(Led)//系统自动分配8对应LED输出,并且LED的输出此时是由三八译码器输出决定的,所以不再使用reg型,而应该是wire型
    );       

2.在LED_Run.v中,输出不需要定义成reg型;因为LED的输出此时是由三八译码器输出决定的,在decoder_3_8.v文件中out已经定义为reg型,所以此时LED不再使用reg型,而应该是wire型。

//在pipeline_led中   
	output  [7:0] led;   
//在decoder_3_8中  
    output [7:0] out;
    reg [7:0] out;   

3.对于在验证功能的时候,缩短时间要对所有包含的语句均进行改写,采用参数化设计,定义一个MCNT参数,可以将其用在程序的所有位置,只需要对一处就行修改,程序所有对应位置值都能得到修改;

//为缩短时间,将下面第一条语句改为第二条语句,模块中的counter==25000000-1均需要改为counter==25000-1
//    else if(counter==25000000-1)                                                                                                                           
    else if(counter==25000-1)    //缩小仿真时间,验证功能 
parameter MCNT = 25_000_000-1;//将其参数化方便程序修改。

4.对于缩短仿真时间,可以不改变设计文件,在testbench中使用defparam;或者用#例化参数MCNT。

LED_Run3 LED_Run_insit3(
    .Clk(Clk),
    .Reset_n(Reset_n),
    .Led(Led)
    );
    
    defparam LED_Run_insit3.MCNT = 25000-1;//使用defparam来修改设计源文件中MCNT的值,这样就不会在设计文件和仿真文件中来回切换数值
LED_Run3 
    #(
        .MCNT(25000-1)
    )
LED_Run_insit3(
      .Clk(Clk),
      .Reset_n(Reset_n),
      .Led(Led)
    );
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值