UVM实战——01基本概念_1 芯片验证和验证计划

B站视频:https://www.bilibili.com/video/BV1QE411Z7XF?spm_id_from=333.337.search-card.all.click

1. SoC/ASIC/FPGA(芯片)验证

芯片验证是在一个验证芯片设计过程中验证各个转化阶段是否正确执行的过程。
这里是进行RTL功能验证

在这里插入图片描述

2. 验证计划

2.1 验证目标

  • 功能覆盖率
  • 结构覆盖率(100%)

2.2 验证层次

  • 模块级验证
  • 芯片级验证
  • 系统级验证
    层次越来越难,一级一级往上面模块级验证–>芯片级验证–>验证系统级验证
    在这里插入图片描述

3. 验证策略

3.1 验证形式

  • 白盒验证
  • 灰盒验证
  • 黑盒验证
    在这里插入图片描述

3.2 参考模型

c/c++/matlab模型

3.3 主流验证平台

  • 基于verilog的验证平台
  • 基于systemverilog、UVM的验证平台
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值