integer是什么 vivado_从代码到IP -- Vivado实用系列

本文介绍了如何在Vivado 2018.3中创建一个包含自定义接口的IP核。首先,通过Tools->Create and package new IP选择AXI4接口并配置。接着,实现逻辑,例如使用clogb2函数计算数据宽度的位数。在IP配置中可以设置参数的合法取值范围,并解决如DATA_WIDTH不能直接选择的问题。最后,通过IP Integrator在项目中引用和修改IP核。
摘要由CSDN通过智能技术生成

接口:AXI4 - full + 自定义

vivado:2018.3

基础逻辑(RTL IP):

IP主要是一组hdl代码、方针文件、设计参考、文档的集合,简单来理解的化,block design里可以直接划线链接的块块。所以最精简的步骤,就是定制接口,调配参数,实现逻辑,然后封包。

新建:

Tools->Create and package new ipAXI4有独立的配置项,选择这个按需配置这里按需配置所需的AXI接口声明

注意:不要纠结Data width不能选

其他的接口在ip配置里可以搞edit IP

finish

实现与配置:

左侧相关源文件按需即可,注意保持top不变。top里可以按需增加参数、接口以及做实现。参考数字转位宽参数用法(32 = 2^5 -> 5)

function integer clogb2 (input integer bit_depth);

begin

for (clogb2 = 0; bit_depth > 0; clogb2 = clogb2 + 1)

bit_depth = bit_depth >> 1;

end

endfunction

localparam integer C_DBYTES = clogb2(C_M00_AXI_DATA_WIDTH / 8 - 1);选配兼容的芯片方案这里可以配置参数的合法取值范围,双击编辑

还记得前边说32不能选的问题不,在这里可以编辑DATA_WIDTHList里按需添加即可这里是接口配置页面了

注意,如果需要其他的标准接口描述,可以这里添加并绑定。新增接口

每个标准借口都有自己的定义名字,这里可以按需选择匹配。re-package ip完成打包

引用&修改:

左侧PROJECT MANAGER面板的IP INTEGRATOR,操作区Add repo增加用户目录

如果需要修改的化,右键Edit in ip packager可以重新打包,行为上是新建了一个临时项目,可以重新编辑和打包保存。block design新增自定义IP

Done

参考

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值