VIVADO IP核之DDS直接数字频率合成器使用详解

VIVADO IP核之DDS直接数字频率合成器使用详解

目录

前言

一、DDS基本知识

二、DDS IP核使用之SIN COS LUT only

三、DDS IP核之SIN COS LUT only仿真

四、DDS IP核使用之Phase Generator and SIN COS LUT

五、DDS IP核之Phase Generator and SIN COS LUT仿真

总结


前言

        在数字调制解调,数字上下变频,软件无线电等应用中,均需要用到不同频率的正弦信号或者复正弦信号,VIVADO中的DDS IP核便可以产生不同频率的正弦信号,同时还可以用来计算三角函数值,本文将仔细介绍该IP核的使用,同时将该IP核计算的结果导入MATLAB中分析以验证该IP核被正确使用。


提示:以下是本篇文章正文内容,欢迎各位阅读,转载请附上链接。

一、DDS基本知识

        DDS的核心原理是通过数字计算直接生成波形数据,然后将这些数据转换为模拟信号。其基本组成包括:

相位累加器(Phase Accumulator):

        相位累加器负责生成波形的相位信息。它是一个寄存器,每个时钟周期都会根据相位增量(Phase Increment)进行累加,累加的结果表示当前波形的相位。相位增量决定了输出信号的频率。
波形查找表(Waveform Lookup Table, LUT):

        查找表存储了一周期波形的离散采样点,如正弦波的采样值。相位累加器的输出被用作查找表的地址,从而得到当前相位对应的波形幅度值。

数模转换器(Digital-to-Analog Converter, DAC):

        查找表输出的数字波形幅度值经过数模转换器转换成模拟信号。DAC的分辨率决定了输出波形的精度。

低通滤波器:

        DAC输出的是离散的步进信号,通过低通滤波器可以去除高频成分,得到平滑的模拟波形。

在VIVADO DDS IP核中,只有前两个,产生的是数字信号。

        假设f_{clk}为工作时钟频率(采样频率),N为相位增量控制字位数,x为相位增量控制字,则生成正弦波信号的频率为:

f_0=\frac{K}{2^N}\cdot f_{clk}

频率分辨率为f_{clk}/2^N

不理解这个公式的可以从周期出发理解:

T_0=\frac{2^N}{K}*T_{clk}

二、DDS IP核使用之SIN COS LUT only

        IP核命名为DDS_test,配置选项选择SIN COS LUT only,这个是用来计算三角函数值的。Nosie shaping选择无,另外一

  • 5
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

迎风打盹儿

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值