Verilog中的integer类型

Given a 100-bit input vector [99:0], reverse its bit ordering.

说明:整数(integer)

 integer类型也是一种寄存器数据类型,integer类型的变量为有符号数,而reg类型的变量则为无符号数,除非特别声明为有符号数,还有就是integer的位宽为宿主机的字的位数,但最小为32位,用integer的变量都可以用reg定义,只是用于计数更方便而已。reg,integer,real,time都是寄存器数据类型,定义在Verilog中用来保存数值的变量,和实际的硬件电路中的寄存器有区别。

      大多数的矢量类型(reg或者net)都被默认当做无符号数。integer和real是个例外,它们被默认为当做有符号数。

real类型是不可综合的。
原文链接:https://blog.csdn.net/liqiang9410/article/details/76983900

module top_module( 
    input [99:0] in,
    output [99:0] out
);
    always @(*) begin
        for(integer i= 0;i < 100 ; i++)begin
            out[i] = in[99-i]; 
       end
    end
endmodule
//还是习惯于使用reg类型的变量


module top_module( 
    input [99:0] in,
    output [99:0] out
);
    reg [7:0] i;
    always @(*) begin
        for( i= 0;i < 7'd100 ; i= i + 1)begin
            out[i] = in[99-i]; 
       end
    end
endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值